O a ni fa'amanuiaga ole Through Glass Via(TGV) ma Through Silicon Via, TSV (TSV) process i luga ole TGV?

p1

Le lelei oE ala i le tioata Via (TGV)ma E ala i le Silicon Via(TSV) faiga i luga ole TGV e tele lava:

(1) uiga lelei eletise maualuga maualuga. O mea tioata o se mea insulator, o le dielectric tumau e naʻo le 1/3 o le mea o le silicon mea, ma o le mea leiloa o le 2-3 poloaiga o le maualuga maualalo ifo nai lo mea o le silicon, lea e faʻaitiitia ai le gau o le substrate ma aʻafiaga parasitic. ma faʻamautinoa le faʻamaoni o le faʻailoga faʻasalalau;

(2)lapopoa tele ma ultra-manifinifi ipu tioatae faigofie ona maua. Corning, Asahi ma SCHOTT ma isi gaosi tioata e mafai ona tuʻuina atu le tele-tele tele (> 2m × 2m) ma ultra-manifinifi (<50µm) tioata laulau ma ultra-manifinifi fetuutuunai mea tioata.

3) Tau maualalo. Fa'amanuiaga mai le faigofie ona maua le tele-tele ultra-manifinifi tioata panel, ma e le manaʻomia le deposition o insulating layers, o le tau gaosiga o ipu tioata fetuutuunai e na o le 1/8 o le ipu faʻapipiʻi faʻavae silicon;

4) Faigofie faiga. E leai se mea e manaʻomia e teu ai se mea faʻapipiʻi i luga o le substrate surface ma le puipui i totonu o le TGV, ma e leai se manifinifi e manaʻomia i le ultra-thin adapter plate;

(5) Malosi le mautu masini. E tusa lava pe itiiti ifo i le 100µm le mafiafia o le ipu faʻapipiʻi, e laʻititi lava le warpage;

(6) O le tele o faʻaoga, o se tekonolosi fesoʻotaʻi umi faʻasolosolo faʻaaogaina i le tulaga o le afifiina o le wafer-level, e ausia ai le mamao sili ona puupuu i le va o le wafer-wafer, o le laʻititi laʻititi o le fesoʻotaʻiga e maua ai se auala tekonolosi fou, faʻatasi ai ma le eletise sili ona lelei. , mea vevela, mea faʻainisinia, i totonu o le vaʻa RF, pito maualuga MEMS sensors, faʻapipiʻi faʻapipiʻi maualuga ma isi vaega faʻatasi ai ma tulaga faʻapitoa, o le isi augatupulaga o le 5G, 6G vaʻavaʻa maualuga 3D O se tasi o filifiliga muamua mo 3D afifiina o isi augatupulaga 5G ma 6G meataalo maualuga.

O le fa'asologa o le TGV e masani lava ona aofia ai le sandblasting, vili ultrasonic, etching susu, etching ion reactive loloto, etching photosensitive, etching laser, etching loloto fa'aosoina leisa, ma le fa'aogaina o le pu.

p2

O su'esu'ega lata mai ma fa'ai'uga atina'e ua fa'aalia ai e mafai e le tekonolosi ona saunia e ala i pu ma 5: 1 pu tauaso ma le loloto i le lautele fua o le 20: 1, ma e lelei le morphology. Leisa fa'aoso loloto etching, lea e i'u ai i le talatala laiti, o le auala sili ona suʻesuʻeina i le taimi nei. E pei ona fa'aalia i le Ata 1, o lo'o i ai ni ta'eta'ei fa'ata'amilo i le viliina o le laser masani, a'o le puipui o le va'aiga o le laser-induced deep etching e mama ma lamolemole.

p3Le faagasologa o le faagasologa oTGVinterposer o loʻo faʻaalia i le Ata 2. O le fuafuaga atoa o le viliina muamua o pu i luga o le ipu tioata, ona faʻapipiʻi ai lea o le pa puipui ma le fatu i luga o le puipui o le itu ma luga. O le pa puipui e taofia ai le faʻasalalauina o le Cu i le ipu tioata, aʻo faʻateleina le faʻapipiʻiina o le lua, ioe, i nisi suʻesuʻega na maua ai foi e le talafeagai le pa puipui. Ona teuina lea o le Cu e ala i le electroplating, ona faʻapipiʻi lea, ma aveese le Cu layer e le CMP. Ma le mea mulimuli, o le RDL rewiring layer ua saunia e le PVD coating lithography, ma o le passivation layer e faia pe a uma ona aveese le kelu.

p4

(a) Sauniuniga o fafie, (b) fa'avaeina o le TGV, (c) fa'aeletise fa'alua-itu - fa'aputuina o le 'apamemea, (d) fa'ama'i ma le CMP chemical-mechanical polesi, aveese o le 'apa apamemea i luga, (e) PVD coating ma lithography. , (f) fa'atulagaina o le RDL rewiring layer, (g) degluing ma Cu/Ti etching, (h) fa'avaeina o le passivation layer.

I se aotelega,tioata i le pu (TGV)fa'amoemoega o talosaga e lautele, ma o lo'o i ai nei le maketi i totonu o le atunu'u o lo'o i luga o le tulaga maualuga, mai meafaigaluega i le mamanu o oloa ma su'esu'ega ma atina'e tuputupu a'e maualuga atu nai lo le averesi o le lalolagi.

Afai e iai se solitulafono, fa'afeso'ota'i tape


Taimi meli: Iul-16-2024